0 卖盘信息
BOM询价
您现在的位置: 首页 > 技术方案 >工业控制 > 基于CY8C24894 PSoC+CY3214- PSoC EVAL USB评估套件的超声波测距系统解决方案

基于CY8C24894 PSoC+CY3214- PSoC EVAL USB评估套件的超声波测距系统解决方案

来源: elecfans
2021-04-13
类别:工业控制
eye 17
文章创建人 拍明

原标题:基于CY8C24894 PSoC的超声波测距系统解决方案

  赛普拉斯公司的超声波倒车系统采用CY8C24894 PSoC系列器件,可以实现四路超声波测距系统(超声波传感器和任何接近物体的距离)。外观图,CY3214- PSoC EVAL USB评估套件的主要特性,外观,电路图,材料清单和PCB布局图。

  汽车类PSoC®可编程片上

  系统PSoC系列由许多带有片上控制器设备的可编程片上系统组成。所有PSoC系列器件都旨在替代传统的微控制器单元(MCU),系统IC以及围绕它们的众多分立组件。可配置的模拟,数字和互连电路可在许多工业,消费和通信应用中实现高度集成。

  这种体系结构允许用户创建符合每个单独应用程序要求的定制外围设备配置。此外,一系列方便的引脚和封装中还包括快速CPU,闪存程序存储器,SRAM数据存储器和可配置的I / O。

  PSoC体系结构包括四个主要领域:PSoc内核,数字系统,模拟系统和系统资源。可配置的全局总线允许将所有设备资源组合到一个完整的自定义系统中。PSoC CY8C24x94器件最多可以具有七个连接到全局数字和模拟互连的I / O端口,从而可以访问四个数字模块和六个模拟模块。

  PSoC内核

  PSoC Core是功能强大的引擎,支持丰富的功能集。该内核包括一个CPU,内存,时钟和可配置的GPIO。

  M8C CPU内核是功能强大的处理器,速度高达24 MHz,提供了一个4 MIPS 8位哈佛架构微处理器。CPU使用多达20个向量的中断控制器来简化实时嵌入式事件的编程。使用随附的睡眠定时器和看门狗定时器(WDT)对程序执行进行计时和保护。

  存储器包括用于程序存储的16 KB闪存,用于数据存储的1 KB SRAM和使用该闪存的多达2 KB的仿真EEPROM。程序闪存在64个字节的块上具有四个保护级别,从而允许自定义软件IP保护。

  PSoC器件集成了灵活的内部时钟发生器,包括一个在温度和电压范围内精确度为±4%的24MHz内部主振荡器(IMO)。24 MHz IMO也可以加倍至48 MHz,以供数字系统使用。为睡眠定时器和WDT提供了一个低功耗的32 kHz内部低速振荡器(ILO)。时钟与可编程时钟分频器(作为系统资源)一起提供了将几乎任何时序要求集成到PSoC器件中的灵活性。

  PSoC GPIO提供与设备的CPU,数字资源和模拟资源的连接。每个引脚的驱动模式可以从八个选项中选择,从而在外部接口方面具有极大的灵活性。每个引脚还能够产生系统中断。

  数字系统

  该数字系统由四个数字PSoC模块组成。每个模块是一个8位资源,可单独使用或与其他模块结合使用以形成8位,16位,24位和32位外围设备,这些外围设备称为用户模块。

  数字外围设备配置包括以下所列。

  ■ PWM(8到32位)

  ■具有死区的PWM(8到24位)

  ■计数器(8到32位)

  ■定时器(8到32位)

  ■全或半具有可选奇偶校验的双工8位UART

  ■SPI主设备和从设备

  ■I2C主设备,从设备或多主设备(在专用I2C块中实现)

  ■循环冗余校验器/发生器(16位)

  ■红外数据协会(IrDA)

  ■PRS发生器(8至32位)

  数字模块可以通过一系列全局总线连接到任何GPIO,这些总线可以将任何信号路由到任何引脚。总线还允许信号多路复用和执行逻辑运算。

  这种可配置性使您的设计摆脱了固定外围控制器的束缚。

  数字模块以四行的形式提供,其中模块的数量根据PSoC器件系列的不同而不同。这使您可以为应用程序最佳选择系统资源。

  模拟系统

  模拟系统由六个可配置模块组成,每个模块都包含一个运算放大器电路,可创建复杂的模拟信号流。模拟外设非常灵活,可以定制以支持特定的应用需求。

  下面列出了一些更常见的PSoC模拟功能(大多数可作为用户模块使用)。

  ■ ADC(最多两个,分辨率为6至14位,可以选择为增量,增量-Σ或逐次逼近寄存器(SAR))

  ■滤波器(两极和四极带通,低通和陷波)

  ■放大器(最多两个,增益为48x)

  ■仪表放大器(一个增益为93x)

  ■比较器(最多为两个,具有16个可选阈值)

  ■ DAC(最多为2个,从6到9)位分辨率)

  ■乘法DAC(最多两个,具有6至9位分辨率)

  ■高电流输出驱动器(两个,具有30 mA驱动器)

  ■1.3V基准电压(作为系统资源)

  ■DTMF拨号程序

  ■调制器

  ■相关器■

  峰值检测器

  ■可能

  的许多其他拓扑

  模拟多路复用器系统模拟多路复用器总线可以连接到端口0-5中的每个GPIO引脚。引脚单独或以任何组合方式连接到总线。

  该总线还连接到模拟系统,以便通过比较器和ADC进行分析。它可以分为两个部分以同时进行双通道处理。额外的8:1模拟输入多路复用器提供了第二条路径,将端口0引脚连接到模拟阵列。开关控制逻辑使选定的引脚能够在硬件控制下连续进行预充电。这样就可以为触摸感应等应用进行电容式测量。其他多路复用器应用包括:

  ■触控板,手指感应。

  ■芯片级多路复用器,允许多达47个I / O引脚进行模拟输入。

  ■任何I / O引脚组合之间的交叉点连接。

  其他系统资源

  系统资源提供了对整个系统有用的附加功能。其他资源包括乘法器,抽取器,LVD和上电复位(POR)。以下是描述每种资源优点的简短说明。

  ■数字时钟分频器提供了三个可自定义的时钟频率,供应用程序使用。时钟可以路由到数字和模拟系统。使用数字PSoC模块作为时钟分频器会生成其他时钟。

  ■两个乘法累加(MAC)提供具有32位累加的快速8位乘法器,以辅助通用数学和数字滤波器

  CY8C24894主要特性:

  ■符合美国汽车电子理事会(AEC)的标准

  ■强大的哈佛架构处理器

  ❐M8C处理器的速度高达24

  MHz❐两个8×8乘法,32位累加

  ❐高速时的低功耗

  ❐工作电压:3.0 V至5.25

  V❐汽车温度范围:–40℃至+85℃

  ■先进的外围设备(PSoC®模块)

  ❐六个轨至轨模拟PSoC模块可提供:

  •多达14位的模数转换器(ADC)

  •多达9位的数模转换器(DAC)

  •可编程增益放大器(PGA)

  •可编程滤波器和比较器

  ❐四个数字PSoC模块提供:

  •8至32位定时器,计数器和脉宽调制器(PWM)

  •循环冗余校验(CRC)和伪随机序列(PRS)模块

  •全功能半双工或半双工UART

  •SPI主设备或从设备

  •可连接至所有通用I / O(GPIO)引脚

  combining通过组合模块来构成复杂的外设

  •电容感应应用能力

  ■灵活的片上存储器

  ❐16 KB闪存程序存储,1000擦除/写入周期

  ❐1 KB SRAM数据存储

  ❐系统串行编程(ISSP)

  flash部分闪存更新

  ❐灵活的保护模式

  ❐闪存中的EEPROM仿真

  ■可编程的引脚配置

  all所有GPIO上均具有25 mA灌电流,10 mA驱动

  ❐所有GPIO上具有上拉,下拉,高Z,强或开漏驱动模式

  ❐GPIO上具有多达47个模拟输入

  ❐两个30 mA GPIO上的模拟输出

  all所有GPIO上的可配置中断

  ■精确的可编程时钟

  ❐内部±4%24/48 MHz振荡器

  ❐内部低速,低功耗振荡器,用于看门狗和睡眠功能

  ❐可选的外部振荡器,最高24 MHz

  ■额外的系统资源

  ❐I2C™从机,主机或多主机操作,最高可达400

  kHz❐看门狗和睡眠定时器

  ❐用户可配置的LVD

  supervisor集成监控电路

  ❐片上精密电压基准

  ■完整的开发工具

  ❐免费开发软件(PSoC Designer™)

  ❐功能齐全的在线仿真器(ICE)和编程器

  ❐全速仿真

  ❐复杂的断点结构

  ❐128 KB跟踪存储器

  

基于CY8C24894 PSoC的超声波测距系统解决方案


  图1.CY8C24894逻辑方框图

  超声波倒车辅助系统

  该图表示超声波泊车辅助系统(UPAS),该系统使用PSoC实现了四通道超声波测距系统。框图中的实现用于测量超声换能器与附近物体之间的距离。该系统必须根据需要为要使用的其余车辆提供距离测量。

  PSoC提供了比任何标准MCU更多的集成,从而有助于减少BOM,提高质量和缩短产品上市时间。

  


  图2.超声波倒车辅助系统定位

  CY3214-PSo CEVA LUSB评估套件

  CY3214-PSoCEVALUSB套件包括用于CY8C24894-24LTXIPSoC®器件的开发板。请注意,该板上有CY8C24094器件,该器件是CY8C24x94系列的片上调试部分。您可以使用PSoC Designer™或更改此套件随附的代码示例来设计自己的项目。它是技术的组合,为新的低成本混合信号USB产品系列创造了机会。这种体系结构使您可以创建满足各个应用程序需求的定制外围设备配置。

  CY3214-PSoCEVALUSB套件基于PSoC 1系列器件。PSoC是用于8位应用程序的可编程片上系统™平台。它结合了精密的模拟和数字逻辑以及高性能的CPU。借助PSoC,您可以创建外设和集成专有IP的确切组合,以满足您的应用需求。

  第2章介绍了CY3214-PSoCEVALUSB套件,PSoC Designer和PSoC Programmer的安装和配置。第3章在“ Square Mouse”项目的帮助下描述了套件的操作,该项目以CY3214-PSoCEVALUSB套件进行了演示。它还说明了PSoC 1器件与PSoC MiniProg和电源的编程连接。第4章介绍了硬件操作。第5章介绍了简单项目的执行。附录部分提供了原理图和物料清单(BOM)。

  CY3214-PSoCEVALUSB评估套件包括:

  ■PSoCEVALUSB板

  ■ LCD模块

  ■MiniProg编程器

  ■USB A至Mini B电缆

  ■CY3214-PSoCEVALUSB套件CD

  ❐PSoC Designer安装文件

  ❐PSoC Programmer安装文件

  ❐代码示例

  ❐硬件文件

  ❐套件指南

  ❐快速入门指南

  ❐发行说明

  ■跳线包

  ■CY8C24894-24LTXI示例芯片

  


  图3。CY3214-PSoCEVALUSB评估套件外形图

  


  图4。CY3214-PSoCEVALUSB评估套件硬件布局

  


  图5。CY3214-PSoCEvalUSB电路套件评估电路图产品

  CY3214-PSoCEvalUSB电路评估套件材料清单:

  


  


  图6。CY3214-PSoCEVALUSB评估套件PCB布局图


责任编辑:David

【免责声明】

1、本文内容、数据、图表等来源于网络引用或其他公开资料,版权归属原作者、原发表出处。若版权所有方对本文的引用持有异议,请联系拍明芯城(marketing@iczoom.com),本方将及时处理。

2、本文的引用仅供读者交流学习使用,不涉及商业目的。

3、本文内容仅代表作者观点,拍明芯城不对内容的准确性、可靠性或完整性提供明示或暗示的保证。读者阅读本文后做出的决定或行为,是基于自主意愿和独立判断做出的,请读者明确相关结果。

4、如需转载本方拥有版权的文章,请联系拍明芯城(marketing@iczoom.com)注明“转载原因”。未经允许私自转载拍明芯城将保留追究其法律责任的权利。

拍明芯城拥有对此声明的最终解释权。

相关资讯

方案推荐
基于MC33771主控芯片的新能源锂电池管理系统解决方案

基于MC33771主控芯片的新能源锂电池管理系统解决方案

AMIC110 32位Sitara ARM MCU开发方案

AMIC110 32位Sitara ARM MCU开发方案

基于AMIC110多协议可编程工业通信处理器的32位Sitara ARM MCU开发方案

基于AMIC110多协议可编程工业通信处理器的32位Sitara ARM MCU开发方案

基于展讯SC9820超低成本LTE芯片平台的儿童智能手表解决方案

基于展讯SC9820超低成本LTE芯片平台的儿童智能手表解决方案

基于TI公司的AM437x双照相机参考设计

基于TI公司的AM437x双照相机参考设计

基于MTK6580芯片的W2智能手表解决方案

基于MTK6580芯片的W2智能手表解决方案