0 卖盘信息
BOM询价
您现在的位置: 首页 > 电子资讯 >技术信息 > EDA技术在电路设计中的地位和作用

EDA技术在电路设计中的地位和作用

2018-02-28
类别:技术信息
eye 331
文章创建人 拍明


20世纪后半期,随着集成电路和计算机技术的发展,数字系统也得到了飞速发展,其实现方法经历了由分立元件、SSI、MSI到LSI、VLSI以及UVLSI的过程。同时为了提高系统的可靠性与通用性,微处理器和专用集成电路(ASIC)逐渐取代了通用集成硬件LSI电路,而在这两者之间,ASIC以其体积小、重量轻、功耗低、速度快、成本低、保密性好而脱颖而出。总的来说,ASIC的制作可粗略地分为掩膜式方法和现场可编程方法两大类。目前,业界大量可编程器件(PLD),尤其是现场可编程逻辑器件(CPLD/FPGA)被大量地应用在ASIC的制作中。在可编程集成电器开发过程中,电子设计自动化()技术应运而生。技术的出现,不仅为电子系统设计带来了一场革命性的变化,从某种角度说,也成为其发展的必然。下面论述技术的发展和基本特征以及在电子技术设计中的地位和作用。

1 EDA技术发展概述

EDA是以计算机为平台,融合了应用电子技术、计算机技术、智能化技术最新成果而研制的电子CAD通用软件包,主要辅助进行三方面的工作:IC设计、电子线路设计以及设计。回顾近30年电子设计技术的发展历程,可将EDA技术分为三个阶段:20世纪70年代为CAD阶段,人们开始用计算机辅助进行IC版图编辑、布局布线,取代了手工操作,产生计算机辅助设计的概念。20世纪80年代为CAE阶段,与CAD相比,除了纯粹的图形设计功能之外,又增加了电路功能设计和结构设计,并且通过电器连接网络表将二者结合在一起,实现了工程设计,这就是计算机辅助设计的概念。CAE的主要功能是:原理图输入,逻辑图仿真,电路分析,自动布局布线,分析。20世纪90年代为EDA阶段,尽管CAD/CAE技术取得了很大的成功,但并没有把人们从繁重的劳动中解放出来。在整个设计过程中,自动化和智能化程度还不高,各种软件界面千差万别,学习使用困难,互不兼容,直接影响到设计环节的衔接。基于以上环节不足,人们开始追求:贯彻整个设计过程的自动化,这就是EDA即电子系统设计自动化。

2 EDA技术的基本特征及实验室配置

EDA代表了当今电子设计技术发展的方向,它的基本特征是:设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统设计,最后通过综合器和适配器生成最终的目标器件。这样的设计方法被称为高层次的电子设计方法,下面介绍与EDA基本特征有关的几个概念。

2.1 “自顶而下”的设计方法

10年前,电子设计的基本思路还是选择标准的集成电路“自底向上”(Bottom-Up)地构造出一个新的系统。这样的设计方法如同一砖一瓦建造楼房,不仅效率低、成本高而且容易出错。高层次的设计给我们提供了一种“自顶向下”(Top-Down)的全新设计方法,这种方法首先从系统入手,在顶层进行功能方框图的划分和结构设计。在方框图一级进行仿真、纠错,并用硬件描述语言对高层的系统进行描述,在系统一级进行验证。然后用综合优化工具生成具体的门电路网表,其对应的物理实现级可以是印刷电路板或专用集成电路。

由于设计的主要仿真和调试过程是在高层次上完成的。这既有利于早期发现结构设计上的错误,避免设计工时的浪费,同时也减少了逻辑功能仿真的工作量,提高了设计的一次成功率。

2.2 ASIC设计

现在电子产品的复杂程度日益加深,一个电子系统可能由数万个中小集成电路构成,这就带来了体积大、功耗大、可靠性差的问题,解决这一问题的有效方法就是采用ASIC(Application Specific Integrated Circuits)芯片进行设计。ASIC按照设计方法的不同可分为全定制ASIC,半定制ASIC,可编程ASIC(也成为可编程逻辑器件)。

设计全定制ASIC芯片时,设计人员要定义芯片上所有晶体管的几何图形和工艺规则,最后将设计结果交由IC厂家掩膜制造完成。优点是:芯片可以获得最优的性能,即面积利用率高、速度快、功耗低。缺点是:开发周期长,费用高,只适合大批量产品开发。

半定制ASIC芯片的版图设计方法有所不同,分为门阵列设计方法和标准单元设计方法。这两种设计方法都是约束性设计方法,其主要目的就是简化设计,以牺牲芯片性能为代价来缩短开发时间。可编程逻辑器件自20世纪70年代以来,经历了PAL、GAL、CPLD、FPGA几个发展阶段,其中CPLD/FPGA属于高密度逻辑器件,目前集程度已高达200万门/片,它将掩膜ASIC集程度高的优点和可编程逻辑器件设计生产方便的特点结合在一起,特别适合于样品研究或小批量产品开发,使产品能以最快的速度上市,而当市场扩大时,它可以很容易的转由掩膜ASIC实现,因此开发风险也大为降低。

上述ASIC芯片,尤其是CPLD/FPGA器件,已成为现代高层次电子设计方法的实现载体。

2.3 硬件描述语言

硬件描述语言(HDL-Hardw are Description Language)是一种用于硬件电子设计的计算机语言。它用软件编程的方式来描述电子系统的逻辑功能、电路结构和连接方式,与传统的门级描述方式相比,它更适合大规模系统的设计。早期硬件描述语言,如ABEL-HDL、AHDL,由不同的EDA厂家开发,互不兼容,而且不支持多层次设计,层次间翻译工作由人工来完成。为了克服以上不足,1985年美国国防部正式推出了VHDL(Very High Speed IC Hardware Description Language)语言。VHDL是一种全方位的硬件描述语言,包括系统行为级、寄存器传输级和逻辑门级多个设计层次,支持结构描述、数据流描述、行为描述三种描述形式的混合描述,因此VHDL几乎覆盖了以往各种硬件描述语言的功能,整个自顶而下或自底向上的电路设计过程都可以用VHDL来完成。VHDL还具有以下优点:①VHDL范围描述能力使它成为高层次设计的核心,将设计人员的工作重心提高到系统功能的实现和调试,而花较少的精力用于物理的实现。②VHDL可以用简洁明确的代码描述来进行复杂的控制逻辑设计,灵活方便,而且也便于设计结果的交流、保存和重用。③VHDL的设计不依赖于特定的器件,方便了工艺转换。④VHDL是一种标准语言,为众多的EDA厂商所支持,因此移植性好。

2.4 EDA技术的建模与仿真

EDA技术必须进行元件的建模与系统仿真,基于Spice/Xspice为内核的Multisim是目前教育系统流行的电路仿真软件。MultisimV7是通过对V5、V6的功能不断扩充,特别增加了VHDL和VerilogHDL模块,使它成为真正的“数/模/VHDL/VerilogHDL”混合电路仿真软件。

Multisim的元件库分为Mult isim主数据库(Multisim Master Database)、共享数据库(Corporate Library)和用户数据库(User Database),其中主数据库的元件不能更改,共享数据库和用户数据库可以更改,用户可以将常用的元件或用户编辑的新元件放在这两个数据库中。单极版的Multisim中共享数据库不可使用。Multisim中的元件模型分为SPICE模型、Code Model模型、VHDL元件模型和Verilog HDL元件模型。SPICE模型是指SPICE预定义的元件模型或利用子电路的方法建立的模型。Code Model是在SPICE中用C语言编写的元件模型。建立VHDL模型和Verilog HDL模型前首先要编写相应的语言代码,进行仿真验证,然后汇编和连接,产生Multisim可以接受的模型文件。

与其他EDA工具相比较,Multisim主要具有以下优点:①采用直观的图形界面创建电路。②软件提供了丰富而全面的仪器设备,且同一台仪器可以多台同时调用,和真实实验相比,大大节约了费用。③Multisim软件带有丰富的电路元件库,特别是有大量与现实对应的元件模型,使电路有很强的实用性,并提供了多种电路分析方法。④作为设计工具,它可以同其他流行的电路分析、设计和制板软件交换数据。⑤Multisim还是一个优秀的电子训练工具,利用它提供的虚拟仪器可以用比实验室中更灵活的方式进行电路实验,仿真电路实际运行情况,熟悉常用电子仪器测量方法。⑥具有射频电路的仿真功能。⑦专业版支持VHDL和Verilog语言的电路仿真。

对于学生而言,学习Multisim软件进行EDA技术的基础训练更为重要。

2.5 EDA实验室系统及配置

EDA实验室系统是一套硬件配置以及EDA软件配置。最基础的硬件配置是计算机,除此之外就高校而言,EDA实验室还需要以下软硬件配置:①具有模数混合电路仿真软件Multisim。②具有PCB自动化设计功能的软件,目前高校系统更多采用Ult iboard。③PCB雕刻机或PCB板制作系统。若资金允许,可配备PCB雕刻机,否则可配备一般PCB板制作系统。具备以上资源,就可以进行On board设计但要进行On chip设计和开发,还必须配备以下资源:④具有CPLD/FPGA设计输入、软件仿真、下载功能的软件和硬件。EDA实验室可采用CPLD/FPGA下载板,然后利用MaxplusⅡ软件进行设计,完成芯片制造。Max plus Ⅱ具有设计输入、软件仿真、角位定义的功能,它和CPLD/FPGA组合就可以完成在IC上的设计。⑤完成对电路进行时序测试的软硬件。逻辑分析仪可以对硬件电路进行时序测试,但一般分析仪价格比较昂贵,鉴于此EDA实验室可选用PC-base LA1000P型逻辑分析仪,该分析仪的功能和一般分析仪的功能相当,但价格实惠,非常适合EDA实验室使用。

3 EDA技术在当今电路设计中的应用

20世纪90年代以来,电子信息类产品的开发明显出现两个特点:一是产品的复杂程度加深;二是产品的上市时限紧迫。然而电路级设计本质上是基于门级描述的单层次设计(主要以数字电路为主),设计的所有工作(包括设计输入、仿真和分析、设计修改等)都是在基本逻辑门这一层次上进行的。显然这种设计方法不能适应新的形势,为此引入一种高层次的电子设计方法,也称为系统的设计方法。

高层次设计是一种“概念驱动式”的设计,设计人员无须通过门级原理图描述电路,而是对设计目标进行功能描述,由于摆脱了电路细节的束缚,设计人员可以把精力集中于创造性的方案与概念构思上,一旦这些概念构思以高层次描述输入计算机后,EDA系统就能以规则驱动的方式自动完成整个设计。这样,新的概念得以迅速有效地成为产品,大大缩短了产品的研制周期。不仅如此,高层次的设计只是定义系统的行为特性,可以不涉及实现工艺,在厂家的综合库的支持下,利用综合优化工具可以将高层次的描述转化成对某种工艺优化的网表,工艺转化变得轻松容易。

高层次设计步骤如下:第一,按照“自顶而下”的设计方法进行系统划分。

第二,输入VHDL代码,这是高层次设计中最为普遍的输入方式。此外EDA实验室采用Multisim图形仿真输入,这种方法具有直观、容易理解的特点。

第三,将以上设计输入编译成标准的VHDL文件。对于大型的设计,还要进行代码级的功能仿真,主要是检验系统功能设计的正确性。因为对大型设计,综合、适配要花费数小时,在综合前对源代码仿真,就可大大减少设计重复的次数和时间,一般情况下,可略去这一仿真步骤。

第四,利用仿真器对VHDL源代码进行综合优化处理,生成门级描述的网表文件,这是将高层次描述转化为硬件电路的关键步骤。综合优化是针对ASIC芯片供应商的某一产品进行的,所以综合的过程要在相应的厂家综合库支持下才能完成。综合后,可利用生产的网表文件进行适配前的时序仿真,仿真过程不涉及具体器件的特性,是较为粗略的,一般设计这一仿真步骤可略去。

第五,利用适配器件将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作,包括底层器件配置、逻辑分割、逻辑优化、布局布线。适配完成后,产生多项设计结果:适配报告,包括芯片内部资源利用情况、设计的布尔方程描述情况等;适配后的仿真模型;器件编程文件。根据适配后的仿真模型,可以进行适配后的时序仿真,因为已经得到器件的实际硬件特性(如延时特性),所以仿真结果能比较精确地预期未来芯片的实际性能。如果仿真结果达不到设计要求,就需要修改VHDL源代码或选择不同速度品质的器件,直至满足设计要求。

第六,将适配器件生产的器件编程文件通过编程器或下载电缆载入到目标芯片CPLD/FPGA中。如果是大批量产品开发,通过更换相应的厂家综合库,可以很容易转由ASIC形式实现。

EDA在教学、科研、产品设计与制造等方面都发挥着巨大的作用。在教学方面,几乎所有的理工科(特别是电子信息)类的高等院校都开设了EDA课程。主要是让学生了解EDA的基本概念和基本原理、学习Multisim软件、掌握VHDL语言的编写规范、掌握逻辑理论和算法、使用EDA工具进行电子电路课程的实验并从事简单的设计。学习电路仿真工具和PLD开发工具的使用,为今后的工作打下基础。科研方面主要利用电路仿真工具,利用虚拟仪器进行产品测试,将CPLD/FPGA器件实际应用到仪器设备中,从事PCB设计和ASIC设计等。在产品设计与制造方面,包括前期的计算机仿真,产品开发中的EDA工具应用、产品测试等各个环节,如PCB的制作、电子设备的研制与生产、电路板的焊接、ASIC的流片过程等。另外,EDA软件的功能日益增大,原来功能比较单一的软件,现在增加了很多用途。EDA技术发展迅猛,完全可以用日新月异来描述。EDA技术的广泛应用,现在已涉及各行各业。EDA水平不断提高,设计工具趋于完美的地步,EDA市场日趋成熟。

EDA

EDA是电子设计自动化(Electronics Design Automation)的缩写,在20世纪60年代中期从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。

20世纪90年代,国际上电子和计算机技术较为先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。

EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VerilogHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。

EDA.jpg

设计方法

方法

(1) 前端设计(系统建模RTL 级描述)后端设计(FPGAASIC)系统建模。

(2)IP复用。

(3) 前端设计。

(4) 系统描述:建立系统的数学模型。

(5) 功能描述:描述系统的行为或各子模块之间的数据流图。

(6)逻辑设计:将系统功能结构化,通常以文本、原理图、逻辑图、布尔表达式来表示设计结果。

(7) 仿真:包括功能仿真和时序仿真,主要验证系统功能的正确性及时序特性。

设计技巧

(1)密码锁输入电路KEYB 0ARD.VHD中对各种分频信号/信号序列的设计有独到之处。该设计中,利用一个自由计数器来产生各种需要的频率,也就是先建立一个N位计数器,N的大小根据电路的需求来决定。N的值越大,电路可以除频的次数就越多,这样就可以获得更大的频率变化,以便提供多种不同频率的时钟信号。若输入时钟为CLK,N位计数器的输出为Q[N-1,0],则Q(0)为CLK的2分频脉冲信号,Q(1)为CLK的4分频脉冲信号,Q(2)为CLK的6分频脉冲信号,……Q(N-1)为CLK的2N分频脉冲信号;Q(5 DOWNT04)取得的是一个脉冲波形序列,其值是依00、01、10、11、00、01周期性变化的,其变化频率为CLK的25分频,也就是32分频。我们利用以上规律即可得到各种我们所需要频率的信号或信号序列。

(2)键盘输入去抖电路的设计程序DEBOUNCING.VHD在实际系统的开发中有较好的参考价值。

(3)密码锁控制电路CTRL,VHD中对于数据的更新及移位方法比较好。程序中使用语句“ACC <=ACC(11 DOWNT0 0)&DATA_N”非常简洁地同时实现了ACC中的低4位用DATA_N进行更新,而高12位用ACC中的原来的低12位左移而来的处理。

(4)在密码锁输入电路等模块的程序的设计和仿真中,为了便于观察一些中间结果,在程序中增加了一些观测输出点。这一设计技巧,对于较大的程序或多进程程序的设计非常重要。同时在仿真时,为了便于观测全局结果,降低了分频常数。同理,在进行程序仿真时,对于程序中数目较大的分频/计数/计时常数的修改是非常必要的。

1-1 EDA技术与ASIC设计和FPGA开发有什么关系?

答:利用EDA技术进行电子系统设计的最后目标是完成专用集成电路ASIC的设计和实现;FPGA和CPLD是实现这一途径的主流器件。FPGA和CPLD通常也被称为可编程专用IC,或可编程ASIC。FPGA和CPLD的应用是EDA技术有机融合软硬件电子设计技术、SoC(片上系统)和ASIC设计,以及对自动设计与自动实现最典型的诠释。

1-2与软件描述语言相比,VHDL有什么特点?

答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。综合器将VHDL程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。

l-3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么?

什么是综合?答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。

有哪些类型? 答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。(2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。(3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。(4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。

综合在电子设计自动化中的地位是什么? 答:是核心地位(见图1-3)。综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将VHDL程序转化成电路实现的相关信息。

1-4在EDA技术中,自顶向下的设计方法的重要意义是什么? P7~10

答:在EDA技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。

1-5 IP在EDA技术的应用和发展中的意义是什么?P11~12

答:IP核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。

2-1 叙述EDA的FPGA/CPLD设计流程。P13~16

答:1.设计输入(原理图/HDL文本编辑);2.综合;3.适配;4.时序仿真与功能仿真;5.编程下载;6.硬件测试。

2-2 IP是什么?IP与EDA技术的关系是什么?P24~26

IP是什么?答:IP是知识产权核或知识产权模块,用于ASIC或FPGA/CPLD中的预先设计好的电路功能模块。

IP与EDA技术的关系是什么? 答:IP在EDA技术开发中具有十分重要的地位;与EDA技术的关系分有软IP、固IP、硬IP:软IP是用VHDL等硬件描述语言描述的功能块,并不涉及用什么具体电路元件实现这些功能;软IP通常是以硬件描述语言HDL源文件的形式出现。固IP是完成了综合的功能块,具有较大的设计深度,以网表文件的形式提交客户使用。硬IP提供设计的最终阶段产品:掩模。

2-3 叙述ASIC的设计方法。 P18~19

答:ASIC设计方法,按版图结构及制造方法分有半定制(Semi-custom)和全定制(Full-custom)两种实现方法。

全定制方法是一种基于晶体管级的,手工设计版图的制造方法。

半定制法是一种约束性设计方式,约束的目的是简化设计,缩短设计周期,降低设计成本,提高设计正确率。半定制法按逻辑实现的方式不同,可再分为门阵列法、标准单元法和可编程逻辑器件法。

2-4 FPGA/CPLD在ASIC设计中有什么用途?P16,18

答:FPGA/CPLD在ASIC设计中,属于可编程ASIC的逻辑器件;使设计效率大为提高,上市的时间大为缩短。

2-5 简述在基于FPGA/CPLD的EDA设计流程中所涉及的EDA工具,及其在整个流程中的作用。 P19~23

答:基于FPGA/CPLD的EDA设计流程中所涉及的EDA工具有:设计输入编辑器(作用:接受不同的设计输入表达方式,如原理图输入方式、状态图输入方式、波形输入方式以及HDL的文本输入方式。);HDL综合器(作用:HDL综合器根据工艺库和约束条件信息,将设计输入编辑器提供的信息转化为目标器件硬件结构细节的信息,并在数字电路设计技术、化简优化算法以及计算机软件等复杂结体进行优化处理);仿真器(作用:行为模型的表达、电子系统的建模、逻辑电路的验证及门级系统的测试);适配器(作用:完成目标系统在器件上的布局和布线);下载器(作用:把设计结果信息下载到对应的实际器件,实现硬件设计)。

3-1 OLMC(输出逻辑宏单元)有何功能?说明GAL是怎样实现可编程组合电路与时序电路的。 P34~36

OLMC有何功能?答:OLMC单元设有多种组态,可配置成专用组合输出、专用输入、组合输出双向口、寄存器输出、寄存器输出双向口等。

说明GAL是怎样实现可编程组合电路与时序电路的? 答:GAL(通用阵列逻辑器件)是通过对其中的OLMC(输出逻辑宏单元)的编程和三种模式配置(寄存器模式、复合模式、简单模式),实现组合电路与时序电路设计的。

3-2什么是基于乘积项的可编程逻辑结构?P33~34,40

答:GAL、CPLD之类都是基于乘积项的可编程结构;即包含有可编程与阵列和固定的或阵列的PAL(可编程阵列逻辑)器件构成。

3-3什么是基于查找表的可编程逻辑结构?P40~41

答:FPGA(现场可编程门阵列)是基于查找表的可编程逻辑结构。

3-4 FPGA系列器件中的LAB有何作用? P43~45

答:FPGA(Cyclone/Cyclone II)系列器件主要由逻辑阵列块LAB、嵌入式存储器块(EAB)、I/O单元、嵌入式硬件乘法器和PLL等模块构成;其中LAB(逻辑阵列块)由一系列相邻的LE(逻辑单元)构成的;FPGA可编程资源主要来自逻辑阵列块LAB。

3-5与传统的测试技术相比,边界扫描技术有何优点?P47~50

答:使用BST(边界扫描测试)规范测试,不必使用物理探针,可在器件正常工作时在系统捕获测量的功能数据。克服传统的外探针测试法和“针床”夹具测试法来无法对IC内部节点无法测试的难题。

3-6解释编程与配置这两个概念。P58

答:编程:基于电可擦除存储单元的EEPROM或Flash技术。CPLD一股使用此技术进行编程。CPLD被编程后改变了电可擦除存储单元中的信息,掉电后可保存。电可擦除编程工艺的优点是编程后信息不会因掉电而丢失,但编程次数有限,编程的速度不快。

配置:基于SRAM查找表的编程单元。编程信息是保存在SRAM中的,SRAM在掉电后编程信息立即丢失,在下次上电后,还需要重新载入编程信息。大部分FPGA采用该种编程工艺。该类器件的编程一般称为配置。对于SRAM型FPGA来说,配置次数无限,且速度快;在加电时可随时更改逻辑;下载信息的保密性也不如电可擦除的编程。

3-7请参阅相关资料,并回答问题:按本章给出的归类方式,将基于乘积项的可编程逻辑结构的PLD器件归类为CPLD;将基于查找表的可编程逻辑结构的PLD器什归类为FPGA,那么,APEX系列属于什么类型PLD器件?MAX II系列又属于什么类型的PLD器件?为什么?P54~56

答:APEX(Advanced Logic Element Matrix)系列属于FPGA类型PLD器件;编程信息存于SRAM中。MAX II系列属于CPLD类型的PLD器件;编程信息存于EEPROM中。


责任编辑:Davia

【免责声明】

1、本文内容、数据、图表等来源于网络引用或其他公开资料,版权归属原作者、原发表出处。若版权所有方对本文的引用持有异议,请联系拍明芯城(marketing@iczoom.com),本方将及时处理。

2、本文的引用仅供读者交流学习使用,不涉及商业目的。

3、本文内容仅代表作者观点,拍明芯城不对内容的准确性、可靠性或完整性提供明示或暗示的保证。读者阅读本文后做出的决定或行为,是基于自主意愿和独立判断做出的,请读者明确相关结果。

4、如需转载本方拥有版权的文章,请联系拍明芯城(marketing@iczoom.com)注明“转载原因”。未经允许私自转载拍明芯城将保留追究其法律责任的权利。

拍明芯城拥有对此声明的最终解释权。

标签: EDA 电路设计

相关资讯