0 卖盘信息
BOM询价
您现在的位置: 首页 > 电子资讯 >基础知识 > 16位逐次逼近模数转换器ADS8412的功能特点及应用设计

16位逐次逼近模数转换器ADS8412的功能特点及应用设计

来源: elecfans
2021-04-15
类别:基础知识
eye 26
文章创建人 拍明

原标题:16位逐次逼近模数转换器ADS8412的功能特点及应用设计

  1、概述

  ADS8412是德州仪器公司推出的一款2Msps采样速率的16位逐次逼近(SAR)模数转换器(ADC)。采用P8/P16并行输出,带有内部时钟和基准电压源,无丢失码,2MHz采样频率时的功耗为175mW,SNR为90dB。ADS8412是单极单端输入范围,有16位和8位可选择接口,零等待时间,高速并行接口,8位/16位总线传输,48引脚TQFP封装,所有输入端ESD保护电压达1000V,可用在DWDM、仪表、高速高分辨率零等待数据采集系统、传感器接口、医疗仪器及通信领域。芯片封装型式和引脚排列如图1所示。

  

16位逐次逼近模数转换器ADS8412的功能特点及应用设计


  ADS8412的主要工作特性如下:

  ·2MHz采样频率;

  ·高温下16位无失真输出特性;

  ·零等待时间;

  ·内置基准源,提供4.096V参考电压

  ·片上基准源缓冲器;

  ·高速并行接口;

  ·在2MHz典型模式下功耗为175mW;

  ·宽动态范围的数字电源;

  ·8/16位数据总线传输;

  ·48引脚TQFP封装;

  ·输入端ESD保护电压达1000V。

  2、内部结构及引脚功能

  ADS8412的内部结构如图2所示,芯片由逐次逼近转换器(SAR)、比较器、CDAC等组成。表1给出了引脚名称及功能描述。

  


  表1 ADS8412引脚名称及功能描述

  


  3、设计原则

  ADS8412是一款高速率的逐次逼近模数转换器。基于电荷再分配的结构使其拥有采样/保持功能。转换时钟由芯片内部产生,360ms的转换时间可保护2MHz的数据吞吐,模拟输入信号由+IN和-I两个引脚提供。

  3.1 基准源

  ADS8412可在3.9V~4.2V范围的外部参考电压下工作,还可通过内部基准源提供参考电压。当使用内部参考电压时,引脚2(REFOUT)必须和引脚1连接(REFIN)。引脚2(REFOUT)和引脚48(REFM)之间应接1个0.1μF去耦电容,引脚47(REFIN)和引脚48(REFM)之间接1个1μF的存储电容器。转换器的内部参考电压是双缓冲的,使用外部参考电压时,二级缓冲在外部基准源和CDAC之间起隔离作用。在转换过程中,该缓冲用来为CDAC所有的电容器充电。使用外部参考电压时,引脚1(REFOUT)应悬空。

  3.2 模拟输入

  当转换器进入保持模式时,+IN和-IN两个引脚之间的差分输入电压被内部电容器组采集。+IN和-IN的输入范围均为-0.2V到(Vref+0.2V)之间。输入信号电压的幅值(相当于+IN-(-IN))应被限定在-Vref到Vref之间。为使噪声最小化,应使用带低通滤波器的低带宽输入信号。

  3.3 数字接口

  (1)时序和控制

  ADS8412采用内部振荡器产生时钟信号,用以控制转换器的转换速率和吞吐量,不需要外部时钟。在CS为低电平的前提下,CONVST引脚应至少保护低电平状态20ms方可启动数据转换。在CONVST波形的下降沿,ADS8412从采样模式切换到保护模式。信号具有一个干净稳定的下降沿对于转换器的性能就显得十分重要。当CONVST引脚变为低电平后,BUSY引脚的输出就变为高电平。转换过程中BUSY始终保持在高电平状态,转换完成后,BUSY变为低电平。

  (2)读出数据

  ADS8412以二进制补码格式输出所有的并行数据。当CS和RD都为低电平时,并行输出总线被激活。BYTE引脚主要用来设置对多字的读操作。

  4、 应用举例

  图3给出了ADS8412与典型微控制器的连接电路,微控制器具有8位数据总线,ADS8412的BUSY信号下降沿作为微控制器扣断触发逻辑。

  


  5、结束语

  ADS8412接口简单,使用方便采样灵活,采样精度高,同时又有很高的数据转换速度。在大多数需要高速数据采集和高精度测量的应用场合中,该芯片具有很强的实用性。


责任编辑:David

【免责声明】

1、本文内容、数据、图表等来源于网络引用或其他公开资料,版权归属原作者、原发表出处。若版权所有方对本文的引用持有异议,请联系拍明芯城(marketing@iczoom.com),本方将及时处理。

2、本文的引用仅供读者交流学习使用,不涉及商业目的。

3、本文内容仅代表作者观点,拍明芯城不对内容的准确性、可靠性或完整性提供明示或暗示的保证。读者阅读本文后做出的决定或行为,是基于自主意愿和独立判断做出的,请读者明确相关结果。

4、如需转载本方拥有版权的文章,请联系拍明芯城(marketing@iczoom.com)注明“转载原因”。未经允许私自转载拍明芯城将保留追究其法律责任的权利。

拍明芯城拥有对此声明的最终解释权。

相关资讯