0 卖盘信息
BOM询价
您现在的位置: 首页 > 电子资讯 >电路图 > 74HC138组成32线译码器的电路图

74HC138组成32线译码器的电路图

来源: 电子发烧友
2018-12-27
类别:电路图
eye 708
文章创建人 拍明

原标题:74HC138组成32线译码器的电路图

  用几片74HC138组成多线译码器你知道怎么做吗?32线的呢?本文将为你介绍使用74HC138组成32线译码器的方法。

  74HC138

  74HC138是一款高速CMOS器件,74HC138引脚兼容低功耗肖特基TTL(LSTTL)系列。74HC138译码器可接受3位二进制加权地址输入(A0, A1和A2),并当使能时,提供8个互斥的低有效输出(Y0至Y7)。

74HC138.png

 

  74HC138译码器可接受3位二进制加权地址输入(A0, A1和A2),并当使能时,提供8个互斥的低有效输出(Y0至Y7)。74HC138特有3个使能输入端:两个低有效(E1和E2)和一个高有效(E3)。除非E1和E2置低且E3置高,否则74HC138将保持所有输出为高。利用这种复合使能特性,仅需4片74HC138芯片和1个反相器,即可轻松实现并行扩展,组合成为一个1-32(5线到32线)译码器。任选一个低有效使能输入端作为数据输入,而把其余的使能输入端作为选通端,则74HC138亦可充当一个8输出多路分配器,未使用的使能输入端必须保持绑定在各自合适的高有效或低有效状态。其逻辑真值表如下图:

74HC138组成32线译码器的电路图.png


  74HC138组成32线译码器方法

  74HC138特有3个使能输入端:两个低有效(E1和E2)和一个高有效(E3)。除非E1和E2置低且E3置高,否则74HC138将保持所有输出为高。利用这种复合使能特性,仅需4片74HC138芯片和1个反相器,即可轻松实现并行扩展,组合成为一个1-32(5线到32线)译码器。

  工作原理

  利用×138(1)的控制端S1’、S2’与×138(2)的控制电路S1相连,接入四位输入D0、D1、D2、D3的最高为A3可以完成译码器的扩展。取第(1)片74HC138的和作为它的第四个地址输入端(在同一个时间令),取第(2)片的作为它的第四个地址输入端(在同一个时间令),取两片的,并将第(1)片的和接至,将第(2)片的接至,如图2-3所示,于是得到两片74HC138的输出分别为:

74HC138组成32线译码器的电路图.png

74HC138组成32线译码器的电路图.png

  74HC138真值表

  数据字节顺序,D3 D2 D1 D0,通过真值表,我们可以发现,用四个74HC138组合成32线译码器原理关键在于D3

  当D3 = 0时,(1)被选中,使能,(2)未被选中,全部输出H,也即Y8~Y15全部为H,D0~D2控制Y0~Y7的低电平输出位。1号是16位数据的低字节,2号是16位数据的高字节。高字节默认为0XFF,低字节由1号控制,显示Y0-Y7;

  当D3 = 1时,(2)被选中,使能,(1)未被选中,全部输出H,也即Y0~Y7全部为H,D0~D2控制Y8~Y15的低电平输出位。1号是16位数据的低字节,2号是16位数据的高字节。低字节默认为0XFF,高字节由2号控制,显示Y8-Y15。

  引脚说明

  输出端。(outputs (active LOW))

  12:Gnd电源地(ground (0 V))

  18-19:使能输入端(enable inputs (acTIve LOW))

  20-23地址输入端(address inputs)

  24:VCC电源正(posiTIve supply voltage)

  地址/全能输入对应输出表

  功能真值表注意:

  H = 高电平(HIGH voltage level)

  L = 低电平(LOW voltage level)

  X = 任意电平(don’t care)

  只要控制端G1、G2任意一个为高电平,A、B、C、D任意电平输入都无效

  上图表明时第(1)片74HC138工作而第(2)片74LS138禁止,将的0000~0111这8个代码译成8个低电平信号。而式(2)表明时,第(2)片74HC138工作,第(1)片74LS138禁止,将的1000~1111这8个代码译成8个低电平信号,依次类推。这样就用四个3线-8线译码器扩展成一个32线的译码器了

  74HC138组成32线译码器的电路图.png

  

  结语

  关于74HC138组成32线译码器的方法就介绍到了,希望本文能够对你有所帮助,如有不足之处欢迎指正。


责任编辑:David

【免责声明】

1、本文内容、数据、图表等来源于网络引用或其他公开资料,版权归属原作者、原发表出处。若版权所有方对本文的引用持有异议,请联系拍明芯城(marketing@iczoom.com),本方将及时处理。

2、本文的引用仅供读者交流学习使用,不涉及商业目的。

3、本文内容仅代表作者观点,拍明芯城不对内容的准确性、可靠性或完整性提供明示或暗示的保证。读者阅读本文后做出的决定或行为,是基于自主意愿和独立判断做出的,请读者明确相关结果。

4、如需转载本方拥有版权的文章,请联系拍明芯城(marketing@iczoom.com)注明“转载原因”。未经允许私自转载拍明芯城将保留追究其法律责任的权利。

拍明芯城拥有对此声明的最终解释权。

相关资讯